Le placement-routage des circuits analogiques et mixtes fait dans le sur-mesure

Le 16/05/2006 à 14:02 par Cédric Lardière
Le Britannique Pulsic vient de lancer la version 4-10 de sa plate-forme de conception Lyric pour les circuits analogiques, numériques person… Le Britannique Pulsic vient de lancer la version 4-10 de sa plate-forme de conception Lyric pour les circuits analogiques, numériques personnalisés et mixtes. Cette version se distingue par une technologie de placement avancé (définition…
La lecture de cet article est réservée aux abonnés.
Connectez-vous ou abonnez-vous pour y accéder.
Copy link
Powered by Social Snap