Les avancées dans le domaine des ECO (engineering change order) portant sur les connexions métalliques.

Le 08/03/2023 à 15:00 par La rédaction

Les concepteurs de circuits intégrés peuvent non seulement réduire les temps de vérification pour parvenir plus vite à l’étape de fabrication, mais aussi les coûts des reprises de masque, en tirant parti d’un outil de placement-routage qui utilise des cellules de type gate array dans le cadre d’un processus ECO automatique et facile à mettre en œuvre portant uniquement sur le niveau métal. 

Le terme anglais ECO, pour engineering change order , désigne le processus qui consiste à apporter une petite modification dans la logique pour tenir compte de changements fonctionnels ou de performance, de bogues, etc. Aussi pénibles que puissent être les cycles ECO, ils sont préférables à une reprise complète de la conception. Les processus ECO portant sur les connexions métalliques, c’est-à-dire ceux qui n’impliquent pas la modification des couches de base du masque, sont plus faciles à exécuter lorsque le logiciel de conception offre une fonctionnalité d’automatisation simple d’emploi et des méthodes de mise en œuvre efficaces.

Voyons comment un processus ECO portant sur les connexions métalliques est généralement exécuté et comment il peut être amélioré.

Le processus ECO portant sur les connexions métalliques n’apporte des modifications qu’à ces seules connexions pour tenir compte de petits changements de conception (figure 1).

Figure 1. Chemin d’une connexion avant et après un ECO ayant nécessité l’ajout d’un buffer .

Le processus ECO traditionnel ressemble à ceci :

  • Pendant la synthèse originale et le placement-routage, un certain nombre de cellules de réserve sont disséminées dans la conception. Leur nature et leur nombre dépendent de la conception.
  • Lorsqu’un ECO est émis, le concepteur détermine quelles cellules de réserve peuvent être utilisées pour effectuer la modification, puis laisse l’outil de placement-routage remplacer les cellules concernées par des cellules de réserve, router les connexions et effectuer les corrections de synchronisation et celles qui sont nécessaires pour respecter les règles de conception (DRC).

Le problème de ce processus c’est qu’une cellule de réserve ne peut remplir qu’une seule fonction. Si cette fonction diffère trop de celle de la cellule à remplacer, cela peut entraîner des problèmes de DRC et de synchronisation. Dans ce cas, une solution consiste à utiliser des cellules à réseau de portes (GA, pour gate array ), plus flexibles, au lieu de cellules de réserve.

Utilisation de cellules GA

Fournies par le concepteur de la bibliothèque, les cellules GA peuvent remplir n’importe quelle fonction. Pour mettre en œuvre la fonction requise, il suffit de modifier les connexions métalliques internes de la cellule. Ces cellules sont appelées cellules de remplissage GA, cellules de masque programmables ou cellules de remplissage ECO. Il en existe deux types :

  • les cellules de remplissage GA/cellules DCAP (condensateur de découplage), qui peuvent être programmées pour assurer n’importe quelle fonction selon leur taille ;
  • les cellules fonctionnelles ECO.

Par exemple, la cellule de remplissage GA/cellule DCAP “Grempl1” peut devenir les cellules fonctionnelles ECO Ginv1, Gtie1 et Gnr2d1 ; la cellule Grempl2 peut devenir les cellules GBuf1 et Gan2d1 ; etc.

Le processus ECO portant sur les connexions métalliques utilisé avec des cellules GA est identique au processus ECO utilisé avec des cellules de réserve, à ceci près que le concepteur insère des cellules de remplissage GA/DCAP au lieu de cellules de réserve.

Gestion des ECO par les logiciels de placement-routage

Dans les logiciels de placement-routage modernes, tels que ceux de Siemens EDA, les processus ECO portant sur les connexions métalliques sont pilotés à l’aide de quelques commandes. La procédure est simple et automatique. Les fonctions offertes incluent la vérification du mapping de cellules défini dans l’ECO, et la sélection, puis l’insertion, de la cellule de remplissage GA correspondante.

Le logiciel utilisé doit également être capable de résoudre le problème des ECO entraînant des défauts de timing ou d’implémentation dans le layout . Ce problème peut survenir si un ECO impacte une région dense en cellules logiques ou qui ne contient pas assez de cellules de remplissage. Les outils de placement-routage peuvent être amenés à choisir des cellules de remplissage très éloignées, ce qui impose d’effectuer de longs détours pour connecter la logique.

L’outil de placement-routage de Siemens limite la recherche de cellules GA disponibles au périmètre spécifié. Il n’effectue pas les modifications demandées dans l’ECO si elles provoquent des problèmes de timing ou d’implémentation incompatible avec la zone de layout à modifier. Avec cet outil, comme toutes les étapes du processus ont accès aux informations de routage détaillées, cette étape rapide n’entraîne ni dégradation des performances, ni violation des règles de dessin (DRC).

Parmi les autres fonctionnalités à rechercher pour que le processus ECO soit plus performant, citons la prise en charge du mapping des cellules à simple hauteur et à double hauteur. L’outil doit être capable de combiner des cellules de remplissage GA à simple hauteur pour former une grande cellule fonctionnelle ECO, de diviser des cellules à double hauteur en cellules à simple hauteur et de remplir les vides avec des cellules de remplissage plus petites (figure 2).

Figure 2. Exemples de processus ECO portant sur les connexions métalliques réalisés dans Aprisa. Prise en charge des cellules à double et simple hauteur et ajout de cellules de remplissage, le cas échéant.

L’implémentation des processus ECO portant sur les connexions métalliques a toujours été complexe et fastidieuse. Souvent, les concepteurs les implémentent manuellement car ils ne sont pas pris en charge par leur outil de placement-routage. L’ensemble du processus ECO est chronophage et nécessite souvent de multiples itérations en raison du rejet des ECO ne permettant pas d’obtenir un résultat correct. Pour modifier les connexions métalliques et réduire le nombre et la durée des cycles ECO, les concepteurs ont donc besoin d’un logiciel de placement-routage offrant des fonctionnalités innovantes, faciles à utiliser et très efficaces.

POUR EN SAVOIR PLUS

Copy link
Powered by Social Snap